Part Number Hot Search : 
741541 LD1117 BPC2508 L6388 050N06 NDS352 CXP82500 SK1501
Product Description
Full Text Search
 

To Download MPC7441EC Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  preliminary?subject to change without notice the mpc7441 is a reduced instruction set computing (risc) microprocessor that implements the powerpc instruction set architecture. this document describes pertinent electrical and physical characteristics of the mpc7441. for functional characteristics of the processor, refer to the mpc7450 risc microprocessor family user?s manual . this document contains the following topics: topic page section 1.1, ?overview? 1 section 1.2, ?features? 3 section 1.3, ?comparison with the mpc7400? 7 section 1.4, ?general parameters? 9 section 1.5, ?electrical and thermal characteristics? 9 section 1.6, ?pin assignments? 21 section 1.7, ?pinout listings for the 360 cbga package? 22 section 1.8, ?package description? 25 section 1.9, ?system design information? 27 section 1.10, ?document revision history? 38 section 1.11, ?ordering information? 38 to locate any published updates for this document, refer to the website at http://www.motorola.com/semiconductors 1.1 overview the mpc7441 is the third implementation of the fourth generation (g4) microprocessors from motorola. the mpc7441 implements the full powerpc 32-bit architecture and is targeted at networking and computing systems applications. the mpc7441 consists of a processor core and a 256-kbyte l2. figure 1 shows a block diagram of the mpc7441. the core is a high-performance superscalar design supporting a double-precision floating-point unit and a simd multimedia unit. the memory storage subsystem supports the mpx bus interface to main memory and other system resources. advance information MPC7441EC/d rev. 0, 10/2001 mpc7441 risc microprocessor hardware specifications
2 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice overview figure 1. mpc7441 block diagram + integer reservation station unit 2 + integer reservation station unit 2 additional features ? time base counter/decrementer  clock multiplier  jtag/cop interface  thermal/power management  performance monitor + + x fpscr fpscr pa + x instruction unit instruction queue (12-word) 96-bit (3 instructions) reservation integer 128-bit (4 instructions) 32-bit floating- point unit 64-bit reservation load/store unit (ea calculation) finished 32-bit completion unit completion queue (16-entry) tags 32-kbyte d cache system bus interface 36-bit address bus 64-bit data bus integer stations (2) reservation station reservation stations (2) fpr file 16 rename buffers stations (2-entry) gpr file 16 rename buffers reservation station vr file 16 rename buffers 64-bit 128-bit 128-bit completes up to three instructions per clock completed instruction mmu srs (shadow) 128-entry ibat array itlb ta g s 32-kbyte i cache stores stores load miss vector to u c h queue (3) vr issue fpr issue branch processing unit ctr lr btic (128-entry) bht (2048-entry) fetcher gpr issue (6-entry/3-issue) (4-entry/2-issue) (2-entry/1-issue) dispatch unit 256-kbyte unified l2 cache/cache controller data mmu srs (original) 128-entry dbat array dtlb vector touch engine 32-bit ea l1 castout status l2 store queue (l2sq) vector fpu reservation station reservation station reservation station vector integer unit 1 vector integer unit 2 vector permute unit line ta g s block 0 (32-byte) status block 1 (32-byte) memory subsystem l1 load queue (llq) l1 load miss (5) cacheable store instruction fetch (2) request (1) l1 service queues snoop push/ interventions l1 store queue l1 castouts push castout queue bus store queue l2 prefetch (3) bus accumulator (lsq) l1 push (4) (9) unit 2 unit 1
motorola mpc7441 risc microprocessor hardware specifications 3 preliminary ? subject to change without notice features 1.2 features this section summarizes features of the mpc7441 implementation of the powerpc architecture. major features of the mpc7441 are as follows: major features of the mpc7441 are as follows:  high-performance, superscalar microprocessor ? as many as 4 instructions can be fetched from the instruction cache at a time ? as many as 3 instructions can be dispatched to the issue queues at a time ? as many as 12 instructions can be in the instruction queue (iq) ? as many as 16 instructions can be at some stage of execution simultaneously ? single-cycle execution for most instructions ? one instruction per clock cycle throughput for most instructions ? seven-stage pipeline control  eleven independent execution units and three register files ? branch processing unit (bpu) features static and dynamic branch prediction ? 128-entry (32-set, four-way set-associative) branch target instruction cache (btic), a cache of branch instructions that have been encountered in branch/loop code sequences. if a target instruction is in the btic, it is fetched into the instruction queue a cycle sooner than it can be made available from the instruction cache. typically, a fetch that hits the btic provides the first four instructions in the target stream. ? 2048-entry branch history table (bht) with two bits per entry for four levels of prediction ? not-taken, strongly not-taken, taken, strongly taken ? up to three outstanding speculative branches ? branch instructions that do not update the count register (ctr) or link register (lr) are often removed from the instruction stream. ? 8-entry link register stack to predict the target address of branch conditional to link register ( bclr ) instructions. ? four integer units (ius) that share 32 gprs for integer operands ? three identical ius (iu1a, iu1b, and iu1c) can execute all integer instructions except multiply, divide, and move to/from special-purpose register instructions. ? iu2 executes miscellaneous instructions including the cr logical operations, integer multiplication and division instructions, and move to/from special-purpose register instructions. ? five-stage fpu and a 32-entry fpr file ? fully ieee 754-1985-compliant fpu for both single- and double-precision operations ? supports non-ieee mode for time-critical operations ? hardware support for denormalized numbers ? thirty-two 64-bit fprs for single- or double-precision operands ? four vector units and 32-entry vector register file (vrs) ? vector permute unit (vpu)
4 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice features ? vector integer unit 1 (viu1) handles short-latency altivec integer instructions, such as vector add instructions ( vaddsbs , vaddshs , and vaddsws , for example) ? vector integer unit 2 (viu2) handles longer -latency altivec integer instructions, such as vector multiply add instructions ( vmhaddshs , vmhraddshs , and vmladduhm , for example). ? vector floating-point unit (vfpu) ? three-stage load/store unit (lsu) ? supports integer, floating-point and vector instruction load/store traffic ? four-entry vector touch queue (vtq) supports all four architected altivec data stream operations ? three-cycle gpr and altivec load latency (byte, half-word, word, vector) with 1-cycle throughput ? four-cycle fpr load latency (single, double) with 1-cycle throughput ? no additional delay for misaligned access within double-word boundary ? dedicated adder calculates effective addresses (eas) ? supports store gathering ? performs alignment, normalization, and precision conversion for floating-point data ? executes cache control and tlb instructions ? performs alignment, zero padding, and sign extension for integer data ? supports hits under misses (multiple outstanding misses) ? supports both big- and little-endian modes, including misaligned little-endian accesses  three issue queues fiq, viq, and giq can accept as many as one, two, and three instructions, respectively, in a cycle. instruction dispatch requires the following: ? instructions can be dispatched only from the three lowest iq entries ? iq0, iq1, and iq2. ? a maximum of three instructions can be dispatched to the issue queues per clock cycle. ? space must be available in the cq for an instruction to dispatch (this includes instructions that are assigned a space in the cq but not in an issue queue).  rename buffers ? 16 gpr rename buffers ? 16 fpr rename buffers ? 16 vr rename buffers  dispatch unit ? the decode/dispatch stage fully decodes each instruction.  completion unit ? the completion unit retires an instruction from the 16-entry completion queue (cq) when all instructions ahead of it have been completed, the instruction has finished execution, and no exceptions are pending. ? guarantees sequential programming model (precise exception model) ? monitors all dispatched instructions and retires them in order
motorola mpc7441 risc microprocessor hardware specifications 5 preliminary ? subject to change without notice features ? tracks unresolved branches and flushes instructions after a mispredicted branch ? retires as many as three instructions per clock cycle  separate on-chip l1 instruction and data caches (harvard architecture) ? 32-kbyte, eight-way set-associative instruction and data caches ? pseudo least-recently-used (plru) replacement algorithm ? 32-byte (eight-word) l1 cache block ? physically indexed/physical tags ? cache write-back or write-through operation programmable on a per-page or per-block basis ? instruction cache can provide four instructions per clock cycle; data cache can provide four words per clock cycle ? caches can be disabled in software ? caches can be locked in software ? mesi data cache coherency maintained in hardware ? separate copy of data cache tags for efficient snooping ? parity support on cache and tags ? no snooping of instruction cache except for icbi instruction ? data cache supports altivec lru and transient instructions ? critical double- and/or quad-word forwarding is performed as needed. critical quad-word forwarding is used for altivec loads and instruction fetches. other accesses use critical double-word forwarding.  level 2 (l2) cache interface ? on-chip, 256-kbyte, 8-way set associative unified instruction and data cache ? fully pipelined to provide 32 bytes per clock cycle to the l1 caches ? a total 9-cycle load latency for an l1 data cache miss that hits in l2 ? pseudo least-recently-used (plru) replacement algorithm ? cache write-back or write-through operation programmable on a per-page or per-block basis ? 64-byte, two-sectored line size ? parity support on cache  separate memory management units (mmus) for instructions and data ? 52-bit virtual address; 32- or 36-bit physical address ? address translation for 4-kbyte pages, variable-sized blocks, and 256-mbyte segments ? memory programmable as write-back/write-through, caching-inhibited/caching-allowed, and memory coherency enforced/memory coherency not enforced on a page or block basis ? separate ibats and dbats (four each) also defined as sprs ? separate instruction and data translation lookaside buffers (tlbs) ? both tlbs are 128-entry, two-way set associative, and use lru replacement algorithm ? tlbs are hardware- or software-reloadable (that is, on a tlb miss a page table search is performed in hardware or by system software)
6 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice features  efficient data flow ? although the vr/lsu interface is 128 bits, the l1/l2 bus interface allows up to 256 bits. ? the l1 data cache is fully pipelined to provide 128 bits/cycle to or from the vrs ? l2 cache is fully pipelined to provide 256 bits per processor clock cycle to the l1 cache. ? as many as 8 outstanding, out-of-order, cache misses are allowed between the l1 data cache and l2 bus. ? as many as 16 out-of-order transactions can be present on the mpx bus ? store merging for multiple store misses to the same line. only coherency action taken (address-only) for store misses merged to all 32 bytes of a cache block (no data tenure needed). ? three-entry finished store queue and five-entry completed store queue between the lsu and the l1 data cache ? separate additional queues for efficient buffering of outbound data (such as cast outs and write through stores) from the l1 data cache and l2 cache  multiprocessing support features include the following: ? hardware-enforced, mesi cache coherency protocols for data cache ? load/store with reservation instruction pair for atomic memory references, semaphores, and other multiprocessor operations  power and thermal management ? 1.5-v processor core ? the following three power-saving modes are available to the system: ? nap ? instruction fetching is halted. only those clocks for the thermal assist unit (tau), time base, decrementer, and jtag logic remain running. the part goes into the doze state to snoop memory operations on the bus and then back to nap using a qreq /qack processor-system handshake protocol. ? sleep ? power consumption is further reduced by disabling bus snooping, leaving only the pll in a locked and running state. all internal functional units are disabled. ? deep sleep ? when the part is in the sleep state, the system can disable the pll resulting. the system can then disable the sysclk source for greater system power savings. power-on reset procedures for restarting and relocking the pll must be followed on exiting the deep sleep state. ? thermal management facility provides software-controllable thermal management. thermal management is performed through the use of three supervisor-level registers and an mpc7441-specific thermal management exception. ? instruction cache throttling provides control of instruction fetching to limit power consumption.  performance monitor can be used to help debug system designs and improve software efficiency.  in-system testability and debugging features through jtag boundary-scan capability  testability ? lssd scan design ? ieee 1149.1 jtag interface ? array built-in self test (abist) ? factory test only
motorola mpc7441 risc microprocessor hardware specifications 7 preliminary ? subject to change without notice comparison with the mpc7400  reliability and serviceability ? parity checking on system bus ? parity checking on l1 and l2 1.3 comparison with the mpc7400 table 1 compares the key features of the mpc7441 with the key features of the earlier mpc7400. to achieve a higher frequency, the number of logic levels per cycle is reduced. also, to achieve this higher frequency, the pipeline of the mpc7441 is extended (compared to the mpc7400), while maintaining the same level of performance as measured by the number of instructions executed per cycle (ipc). table 1. microarchitecture comparison microarchitectural specs mpc7441 mpc7400/mpc7410 basic pipeline functions logic inversions per cycle 18 28 pipeline stages up to execute 5 3 total pipeline stages (minimum) 7 4 pipeline maximum instruction throughput 3 + branch 2 + branch pipeline resources instruction buffer size 12 6 completion buffer size 16 8 renames (integer, float, vector) 16, 16, 16 6, 6, 6 maximum execution throughput sfx 3 2 vector 2 (any 2 of 4 units) 2 (permute/fixed) scalar floating-point 1 1 out-of-order window size in execution queues sfx integer units 1 entry 3 queues 1 entry 2 queues vector units in order, 4 queues in order, 2 queues scalar floating-point unit in order in order branch processing resources prediction structures btic, bht, link stack btic, bht btic size, associativity 128-entry, 4-way 64-entry, 4-way bht size 2k-entry 512-entry link stack depth 8 none unresolved branches supported 3 2 branch taken penalty (btic hit) 1 0 minimum misprediction penalty 6 4
8 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice comparison with the mpc7400 execution unit timings (latency-throughput) aligned load (integer, float, vector) 3-1, 4-1, 3-1 2-1, 2-1, 2-1 misaligned load (integer, float, vector) 4-2, 5-2, 4-2 3-2, 3-2, 3-2 l1 miss, l2 hit latency 6 (9) 9 (11) 1 sfx (add sub, shift, rot, cmp, logicals) 1-1 1-1 integer multiply (32 8, 32 16, 32 32) 3-1, 3-1, 4-2 2-1, 3-2, 5-4 scalar float 5-1 3-1 vsfx (vector simple) 1-1 1-1 vcfx (vector complex) 4-1 3-1 vfpu (vector float) 4-1 4-1 vper (vector permute) 2-1 1-1 mmus mmus (instruction and data) 128-entry, 2-way 128-entry, 2-way tablewalk mechanism hardware + software hardware l1 i cache/d cache features size 32k/32k 32k/32k associativity 8-way 8-way locking granularity/style 4-kbyte/way full cache parity on i cache word none parity on d cache byte none number of d cache misses (load/store) 5/1 8 (any combination) data stream touch engines 4 streams 4 streams on-chip cache features cache level l2 none (except l1) size/associativity 256-kbyte/8-way n/a access width 256 bits n/a number of 32-byte sectors/line 2 n/a parity byte n/a off-chip cache support cache level n/a l2 on-chip tag logical size n/a 0.5mb, 1mb, 2mb associativity n/a 2-way number of 32-byte sectors/line n/a 1, 2, 4 off-chip data sram support n/a lw, pb2, pb3 data path width n/a 64 table 1. microarchitecture comparison (continued) microarchitectural specs mpc7441 mpc7400/mpc7410
motorola mpc7441 risc microprocessor hardware specifications 9 preliminary ? subject to change without notice general parameters 1.4 general parameters the following list provides a summary of the general parameters of the mpc7441: technology 0.18 m cmos, six-layer metal die size 8.69 mm 12.17 mm (106 mm 2 ) transistor count 33 million logic design fully static packages mpc7441: surface mount 360 ceramic ball grid array (cbga) core power supply 1.5 v 50 mv dc nominal i/o power supply 1.8 v 5% dc or 2.5 v 5% dc 1.5 electrical and thermal characteristics this section provides the ac and dc electrical specifications and thermal characteristics for the mpc7441. 1.5.1 dc electrical characteristics the tables in this section describe the mpc7441 dc electrical characteristics. table 2 provides the absolute maximum ratings. direct mapped sram sizes n/a 0.5 mbyte, 1 mbyte, 2mbytes parity n/a byte 1 numbers in parentheses are for 2:1 sram. table 2. absolute maximum ratings 1 characteristic symbol maximum value unit notes core supply voltage v dd ? 0.3 to 1.95 v 4 pll supply voltage av dd ? 0.3 to 1.95 v 4 processor bus supply voltage bvsel = 0 ov dd ? 0.3 to 1.95 v 3, 6 bvsel = hreset or ov dd ov dd ? 0.3 to 2.7 v 3, 7 input voltage processor bus v in ? 0.3 to ov dd + 0.3 v 2, 5 jtag signals v in ? 0.3 to ov dd + 0.3 v table 1. microarchitecture comparison (continued) microarchitectural specs mpc7441 mpc7400/mpc7410
10 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice electrical and thermal characteristics figure 2 shows the undershoot and overshoot voltage on the mpc7441. figure 2. overshoot/undershoot voltage the mpc7441 provides several i/o voltages to support both compatibility with existing systems and migration to future systems. the mpc7441 core voltage must always be provided at nominal 1.5 v (see table 4 for actual recommended core voltage). voltage to the processor interface i/os are provided through separate sets of supply pins and may be provided at the voltages shown in table 3. the input voltage threshold for each bus is selected by sampling the state of the voltage select pins at the negation of the signal hreset . the output voltage will swing from gnd to the maximum voltage applied to the ov dd power pins. storage temperature range t stg ? 55 to 150 c notes : 1. functional and tested operating conditions are given in table 4. absolute maximum ratings are stress ratings only, and functional operation at the maximums is not guaranteed. stresses beyond those listed may affect device reliability or cause permanent damage to the device. 2. caution : v in must not exceed ov dd by more than 0.3 v at any time including during power-on reset. 3. caution : ov dd must not exceed v dd /av dd by more than 2.0 v at any time including during power-on reset. 4. caution : v dd /av dd must not exceed ov dd by more than 0.4 v at any time including during power-on reset. 5. v in may overshoot/undershoot to a voltage and for a maximum duration as shown in figure 2. 6. bvsel must be set to 0, such that the bus is in 1.8 v mode. 7. bvsel must be set to hreset or 1, such that the bus is in 2.5 v mode. table 2. absolute maximum ratings 1 (continued) characteristic symbol maximum value unit notes v ih gnd gnd ? 0.3 v gnd ? 0.7 v not to exceed 10% ov dd + 20% v il ov dd ov dd + 5% of t sysclk
motorola mpc7441 risc microprocessor hardware specifications 11 preliminary ? subject to change without notice electrical and thermal characteristics table 4 provides the recommended operating conditions for the mpc7441. table 5 provides the package thermal characteristics for the mpc7441. table 3. input threshold voltage setting bvsel signal processor bus input threshold is relative to: notes 0 1.8 v 1, 4 ? hreset not available 1, 3 hreset 2.5 v 1, 2 12.5 v1 notes: 1. caution: the input threshold selection must agree with the ov dd /gv dd voltages supplied. see notes in table 2. 2. to select the 2.5-v threshold option for the processor bus, bvsel should be tied to hreset so that the two signals change state together. this is the preferred method for selecting this mode of operation. 3. ? hreset is the inverse of hreset . 4. if used, pulldown resistors should be less than 250 ? . table 4. recommended 1 operating conditions characteristic symbol recommended value unit notes min max core supply voltage v dd 1.5 v 50 mv v pll supply voltage av dd 1.5 v 50 mv v 2 processor bus supply voltage bvsel = 0 ov dd 1.8 v 5% v bvsel = hreset or ov dd ov dd 2.5 v 5% v input voltage processor bus v in gnd ov dd v jtag signals v in gnd ov dd v die-junction temperature t j 0 105 c notes: 1. these are the recommended and tested operating conditions. proper device operation outside of these conditions is not guaranteed. 2. this voltage is the input to the filter discussed in section 1.9.2, ? pll power supply filtering ? and not necessarily the voltage at the av dd pin which may be reduced from v dd by the filter. table 5. package thermal characteristics characteristic symbol value rating cbga package thermal resistance, junction-to-case thermal resistance (typical) jc <0.1 c/w cbga package thermal resistance, die junction-to-lead thermal resistance (typical) jb 2.2 c/w note: refer to section 1.9, ? system design information, ? for more details about thermal management.
12 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice electrical and thermal characteristics table 6 provides the dc electrical characteristics for the mpc7441. table 7 provides the power consumption for the mpc7441. table 6. dc electrical specifications at recommended operating conditions. see table 4. characteristic nominal bus voltage 1 symbol min max unit notes input high voltage (all inputs except sysclk) 1.8 v ih ov dd 0.65 ov dd + 0.3 v 2.5 v ih 1.7 ov dd + 0.3 v input low voltage (all inputs except sysclk) 1.8 v il ? 0.3 ov dd 0.35 v 2.5 v il ? 0.3 0.7 v sysclk input high voltage ? cv ih 1.4 ov dd + 0.3 v sysclk input low voltage ? cv il ? 0.3 0.4 v input leakage current, v in = ov dd + 0.3 v ? i in ? 10 a 2, 3 high impedance (off-state) leakage current, v in = ov dd + 0.3 v ? i tsi ? 10 a 2, 3, 5 output high voltage, i oh = ? 5 ma 1.8 v oh ov dd ? 0.45 ? v 2.5 v oh 1.7 ? v output low voltage, i ol = 5 ma 1.8 v ol ? 0.45 v 2.5 v ol ? 0.7 v capacitance, v in = 0 v, f = 1 mhz all inputs ? c in ? 8.0 pf 4 notes: 1. nominal voltages; see table 4 for recommended operating conditions. 2. for processor bus signals, the reference is ov dd . 3. excludes test signals and ieee 1149.1 boundary scan (jtag) signals. 4. capacitance is periodically sampled rather than 100% tested. 5. the leakage is measured for nominal ov dd and v dd , or both ov dd and v dd must vary in the same direction (for example, both ov dd and v dd vary by either +5% or ? 5%). table 7. power consumption for mpc7441 processor (cpu) frequency unit notes 600 mhz 700 mhz full-power mode typical 11.5 13.4 w 1, 3 maximum 15.4 17.6 w 1, 2 doze mode typical ?? w1, 3, 4
motorola mpc7441 risc microprocessor hardware specifications 13 preliminary ? subject to change without notice electrical and thermal characteristics 1.5.2 ac electrical characteristics this section provides the ac electrical characteristics for the mpc7441. after fabrication, functional parts are sorted by maximum processor core frequency as shown in section 1.5.2.1, ? clock ac specifications, ? and tested for conformance to the ac specifications for that frequency. the processor core frequency is determined by the bus (sysclk) frequency and the settings of the pll_ext and pll_cfg[0:3] signals. parts are sold by maximum processor core frequency; see section 1.11, ? ordering information. ? 1.5.2.1 clock ac specifications table 8 provides the clock ac timing specifications as defined in figure 3. nap mode typical 1.3 1.6 w 1, 3 sleep mode typical 0.7 0.8 w 1, 3 deep sleep mode (pll disabled) typical 410 480 mw 1, 3 notes: 1. these values apply for all valid processor bus ratios. the values do not include i/o supply power (ov dd ) or pll supply power (av dd ). ov dd power is system dependent, but is typically <20% of v dd power. worst case power consumption for av dd < 3 mw. 2. maximum power is measured at nominal v dd (see table 4) while running an entirely cache-resident, contrived sequence of instructions which keep the execution units, with or without altivec, maximally busy. 3. typical power is an average value measured at the nominal recommended v dd (see table 4) in a system while running a typical code sequence. 4. doze mode is not a user-definable state; it is an intermediate state between full-power and either nap or sleep mode. as a result, power consumption for this mode is not tested. table 8. clock ac timing specifications at recommended operating conditions. see table 4. characteristic symbol maximum processor core frequency unit notes 600 mhz 700 mhz min max min max processor frequency f core 500 600 500 700 mhz 1 vco frequency f vco 1000 1200 1000 1400 mhz 1 sysclk frequency f sysclk 33 133 33 133 mhz 1 sysclk cycle time t sysclk 7.5307.530 ns sysclk rise and fall time t kr and t kf ? 1.0 ? 1.0 ns 2 table 7. power consumption for mpc7441 (continued) processor (cpu) frequency unit notes 600 mhz 700 mhz
14 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice electrical and thermal characteristics figure 3 provides the sysclk input timing diagram. figure 3. sysclk input timing diagram sysclk duty cycle measured at ov dd /2 t khkl /t sysclk 40 60 40 60 % 3 sysclk jitter ? 150 ? 150 ps 4, 6 internal pll relock time ? 100 ? 100 s5 notes : 1. caution : the sysclk frequency, pll_ext and pll_cfg[0:3] settings must be chosen such that the resulting sysclk (bus) frequency, cpu (core) frequency, and pll (vco) frequency do not exceed their respective maximum or minimum operating frequencies. refer to the pll_ext, pll_cfg[0:3] signal description in section 1.9.1, ? pll configuration, ? for valid pll_ext and pll_cfg[0:3] settings. 2. rise and fall times for the sysclk input measured from 0.4 v to 1.4 v. 3. timing is guaranteed by design and characterization. 4. this represents total input jitter ? short term and long term combined ? and is guaranteed by design. 5. relock timing is guaranteed by design and characterization. pll-relock time is the maximum amount of time required for pll lock after a stable v dd and sysclk are reached during the power-on reset sequence. this specification also applies when the pll has been disabled and subsequently re-enabled during sleep mode. also note that hreset must be held asserted for a minimum of 255 bus clocks after the pll-relock time during the power-on reset sequence. 6. the sysclk driver ? s closed loop jitter bandwidth should be <500 khz at ? 20 db. the bandwidth must be set low to allow cascade connected pll-based devices to track sysclk drivers with the specified jitter. table 8. clock ac timing specifications (continued) at recommended operating conditions. see table 4. characteristic symbol maximum processor core frequency unit notes 600 mhz 700 mhz min max min max sysclk vm vm vm cv ih cv il vm = midpoint voltage (ov dd /2) t sysclk t kr t kf t khkl
motorola mpc7441 risc microprocessor hardware specifications 15 preliminary ? subject to change without notice electrical and thermal characteristics 1.5.2.2 processor bus ac specifications table 9 provides the processor bus ac timing specifications for the mpc7441 as defined in figure 4 and figure 5. table 9. processor bus ac timing specifications at recommended operating conditions. see table 4. parameter symbol 2 all speed grades unit notes min max mode select input setup to hreset t mvrh 8 ? t sysclk 3, 4, 5, 6 hreset to mode select input hold t mxrh 0 ? ns 3, 5 input setup times: a[0:35], ap[0:4], gbl , tbst , tsiz[0:2], wt , ci , d[0:63], dp[0:7] aack , artry , bg , ckstp_in , dbg , dti[0:3], hreset , int , mcp , qack , smi , sreset , ta , tben, tea , ts , ext_qual, pmon_in , shd [0:1] t avkh t ivkh 2.0 2.0 ? ? ns input hold times: a[0:35], ap[0:4], gbl , tbst , tsiz[0:2], wt , ci , d[0:63], dp[0:7] aack , artry , bg , ckstp_in , dbg , dti[0:3], hreset , int , mcp , qack , smi , sreset , ta , tben, tea , ts , ext_qual, pmon_in , shd [0:1] t axkh t ixkh 0 0 ? ? ns output valid times: a[0:35], ap[0:4], gbl , tbst , tsiz[0:2], wt , ci ts d[0:63], dp[0:7] artry /shd0 /shd1 br , ckstp_out , drdy , hit , pmon_out , qreq ] t khav t khtsv t khdv t kharv t khov ? ? ? ? ? 2.5 2.5 2.5 2.5 2.5 ns output hold times: a[0:35], ap[0:4], gbl , tbst , tsiz[0:2], wt , ci ts d[0:63], dp[0:7] artry /shd0 /shd1 br , ckstp_out , drdy , hit , pmon_out , qreq t khax t khtsx t khdx t kharx t khox 0.5 0.5 0.5 0.5 0.5 ? ? ? ? ? ns sysclk to output enable t khoe 0.5 ? ns sysclk to output high impedance (all except ts , artry , shd0 , shd1 ) t khoz ? 3.5 ns sysclk to ts high impedance after precharge t khtspz ? 1t sysclk 5, 7, 10 maximum delay to artry /shd0 /shd1 precharge t kharp ? 1t sysclk 5, 8, 9, 10
16 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice electrical and thermal characteristics figure 4 provides the ac test load for the mpc7441. figure 4. ac test load sysclk to artry /shd0 /shd1 high impedance after precharge t kharpz ? 2t sysclk 5, 8, 9, 10 notes: 1. all input specifications are measured from the midpoint of the signal in question to the midpoint of the rising edge of the input sysclk. all output specifications are measured from the midpoint of the rising edge of sysclk to the midpoint of the signal in question. all output timings assume a purely resistive 50- ? load (see figure 4). input and output timings are measured at the pin; time-of-flight delays must be added for trace lengths, vias, and connectors in the system. 2. the symbology used for timing specifications herein follows the pattern of t (signal)(state)(reference)(state) for inputs and t (reference)(state)(signal)(state) for outputs. for example, t ivkh symbolizes the time input signals (i) reach the valid state (v) relative to the sysclk reference (k) going to the high (h) state or input setup time. and t khov symbolizes the time from sysclk(k) going high (h) until outputs (o) are valid (v) or output valid time. input hold time can be read as the time that the input signal (i) went invalid (x) with respect to the rising clock edge (kh) (note the position of the reference and its state for inputs) and output hold time can be read as the time from the rising edge (kh) until the output went invalid (ox). 3. the setup and hold time is with respect to the rising edge of hreset (see figure 5). 4. this specification is for configuration mode select only. 5. t sysclk is the period of the external clock (sysclk) in nanoseconds (ns). the numbers given in the table must be multiplied by the period of sysclk to compute the actual time duration (in ns) of the parameter in question. 6. mode select signals are: bvsel, pll_cfg[0:3], pll_ext, bmode[0:1]. 7. according to the bus protocol, ts is driven only by the currently active bus master. it is asserted low then precharged high before returning to high impedance as shown in figure 6. the nominal precharge width for ts is 0.5 t sysclk , i.e., less than the minimum t sysclk period, to ensure that another master asserting ts on the following clock will not contend with the precharge. output valid and output hold timing is tested for the signal asserted. output valid time is tested for precharge.the high impedance behavior is guaranteed by design. 8. according to the bus protocol, artry can be driven by multiple bus masters through the clock period immediately following aack . bus contention is not an issue because any master asserting artry will be driving it low. any master asserting it low in the first clock following aack will then go to high impedance for one clock before precharging it high during the second cycle after the assertion of aack . the nominal precharge width for artry is 1.0 t sysclk ; that is, it should be high impedance as shown in figure 6 before the first opportunity for another master to assert artry . output valid and output hold timing is tested for the signal asserted.the high-impedance behavior is guaranteed by design. 9. according to the mpx bus protocol, shd0 and shd1 can be driven by multiple bus masters beginning the cycle of ts . timing is the same as artry , i.e., the signal is high impedance for a fraction of a cycle, then negated for up to an entire cycle (crossing a bus cycle boundary) before being three-stated again. the nominal precharge width for shd0 and shd1 is 1.0 t sysclk . the edges of the precharge vary depending on the programmed ratio of core to bus (pll configurations). 10. guaranteed by design and not tested. table 9. processor bus ac timing specifications (continued) at recommended operating conditions. see table 4. parameter symbol 2 all speed grades unit notes min max output z 0 = 50 ? ov dd /2 r l = 50 ?
motorola mpc7441 risc microprocessor hardware specifications 17 preliminary ? subject to change without notice electrical and thermal characteristics figure 5 provides the mode select input timing diagram for the mpc7441. figure 5. mode input timing diagram figure 6 provides the input/output timing diagram for the mpc7441. figure 6. input/output timing diagram hreset mode signals t mvrh t mxrh vm = midpoint voltage (ov dd /2) vm sysclk all inputs vm vm = midpoint voltage (ov dd /2) all outputs t khox vm t khdv (except ts , artry , shd0 , shd1 ) all outputs ts artry , (except ts , artry , shd0 , shd1 ) vm t khoe t khoz t khtspz t kharpz t kharp shd1 shd0 , t khov t khav t khdx t khax t ixkh t axkh t khtsx t khtsv t khtsv t kharv t kharx t ivkh t avkh
18 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice electrical and thermal characteristics 1.5.2.3 ieee 1149.1 ac timing specifications table 10 provides the ieee 1149.1 (jtag) ac timing specifications as defined in figure 8, figure 9, figure 10, and figure 11. figure 7 provides the ac test load for tdo and the boundary-scan outputs of the mpc7441. figure 7. alternate ac test load for the jtag interface table 10. jtag ac timing specifications (independent of sysclk) 1 at recommended operating conditions. see table 4. parameter symbol min max unit notes tck frequency of operation f tclk 033.3mhz tck cycle time t tclk 30 ? ns tck clock pulse width measured at 1.4 v t jhjl 15 ? ns tck rise and fall times t jr and t jf 02ns trst assert time t trst 25 ? ns 2 input setup times: boundary-scan data tms, tdi t dvjh t ivjh 4 0 ? ? ns 3 input hold times: boundary-scan data tms, tdi t dxjh t ixjh 20 25 ? ? ns 3 valid times: boundary-scan data tdo t jldv t jlov 4 4 20 25 ns 4 output hold times: boundary-scan data tdo t jldx t jlox tbd tbd tbd tbd ns 4 tck to output high impedance: boundary-scan data tdo t jldz t jloz 3 3 19 9 ns 4, 5 5 notes: 1. all outputs are measured from the midpoint voltage of the falling/rising edge of tclk to the midpoint of the signal in question. the output timings are measured at the pins. all output timings assume a purely resistive 50- ? load (see figure 7). time-of-flight delays must be added for trace lengths, vias, and connectors in the system. 2. trst is an asynchronous level sensitive signal. the setup time is for test purposes only. 3. non-jtag signal input timing with respect to tck. 4. non-jtag signal output timing with respect to tck. 5. guaranteed by design and characterization. output z 0 = 50 ? ov dd /2 r l = 50 ?
motorola mpc7441 risc microprocessor hardware specifications 19 preliminary ? subject to change without notice electrical and thermal characteristics figure 8 provides the jtag clock input timing diagram. figure 8. jtag clock input timing diagram figure 9 provides the trst timing diagram. figure 9. trst timing diagram figure 10 provides the boundary-scan timing diagram. figure 10. boundary-scan timing diagram tclk vm vm vm vm = midpoint voltage (ov dd /2) t tclk t jr t jf t jhjl trst t trst vm = midpoint voltage (ov dd /2) vm vm vm tck boundary boundary boundary data outputs data inputs data outputs vm = midpoint voltage (ov dd /2) t dxjh t dvjh t jldv t jldz input data valid output data valid output data valid t jldx vm
20 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice electrical and thermal characteristics figure 11 provides the test access port timing diagram. figure 11. test access port timing diagram vm tck tdi, tms tdo output data valid vm = midpoint voltage (ov dd /2) t ixjh t ivjh t jlov t jloz input data valid tdo output data valid t jlox vm
motorola mpc7441 risc microprocessor hardware specifications 21 preliminary ? subject to change without notice pin assignments 1.6 pin assignments figure 12 (in part a) shows the pinout of the mpc7441, 360 cbga package as viewed from the top surface. part b shows the side profile of the cbga package to indicate the direction of the top surface view. part a figure 12. pinout of the mpc7441, 360 cbga package as viewed from the top surface a b c d e f g h j k l m n p r t 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 not to scale 17 18 19 u v w view part b die substrate assembly encapsulant
22 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice pinout listings for the 360 cbga package 1.7 pinout listings for the 360 cbga package table 11 provides the pinout listing for the mpc7441, 360 cbga package. note this pinout is not compatible with the mpc750, mpc755, mpc7400, or mpc7410 360 bga package. table 11. pinout listing for the mpc7441, 360 cbga package signal name pin number active i/o i/f select 1 notes a[0:35] e11, h1, c11, g3, f10, l2, d11, d1, c10, g2, d12, l3, g4, t2, f4, v1, j4, r2, k5, w2, j2, k4, n4, j3, m5, p5, n3, t1, v2, u1, n5, w1, b12, c4, g10, b11 high i/o bvsel 11 aack r1 low input bvsel ap[0:4] c1, e3, h6, f5, g7 high i/o bvsel artry n2 low i/o bvsel 8 av dd a8 ? input n/a bg m1 low input bvsel bmode0 g9 low input bvsel 5 bmode1 f8 low input bvsel 6 br d2 low output bvsel bvsel b7 high input bvsel 1, 7 ci j1 low output bvsel 8 ckstp_in a3 low input bvsel ckstp_out b1 low output bvsel clk_out h2 high output bvsel d[0:63] r15, w15, t14, v16, w16, t15, u15, p14, v13, w13, t13, p13, u14, w14, r12, t12, w12, v12, n11, n10, r11, u11, w11, t11, r10, n9, p10, u10, r9, w10, u9, v9, w5, u6, t5, u5, w7, r6, p7, v6, p17, r19, v18, r18, v19, t19, u19, w19, u18, w17, w18, t16, t18, t17, w3, v17, u4, u8, u7, r7, p6, r8, w8, t8 high i/o bvsel dbg m2 low input bvsel dp[0:7] t3, w4, t4, w9, m6, v3, n8, w6 high i/o bvsel drdy r3 low output bvsel 4 dti[0:3] g1, k1, p1, n1 high input bvsel 4, 13 ext_qual a11 high input bvsel 9 gbl e2 low i/o bvsel
motorola mpc7441 risc microprocessor hardware specifications 23 preliminary ? subject to change without notice pinout listings for the 360 cbga package gnd b5, c3, d6, d13, e17, f3, g17, h4, h7, h9, h11, h13, j6, j8, j10, j12, k7, k3, k9, k11, k13, l6, l8, l10, l12, m4, m7, m9, m11, m13, n7, p3, p9, p12, r5, r14, r17, t7, t10, u3, u13, u17, v5, v8, v11, v15 ?? n/a hit b2 low output bvsel 4 hreset d8 low input bvsel int d4 low input bvsel l1_tstclk g8 high input bvsel 9 l2_tstclk b3 high input bvsel 12 no connect a6, a13, a14, a15, a16, a17, a18, a19, b13, b14, b15, b16, b17, b18, b19, c13, c14, c15, c16, c17, c18, c19, d14, d15, d16, d17, d18, d19, e12, e13, e14, e15, e16, e19, f12, f13, f14, f15, f16, f17, f18, f19, g11, g12, g13, g14, g15, g16, g19, h14, h15, h16, h17, h18, h19, j14, j15, j16, j17, j18, j19, k15, k16, k17, k18, k19, l14, l15, l16, l17, l18, l19, m14, m15, m16, m17, m18, m19, n12, n13, n14, n15, n16, n17, n18, n19, p15, p16, p18, p19 ?? ? 3 lssd_mode e8 low input bvsel 2, 7 mcp c9 low input bvsel ov dd b4, c2, c12, d5, e18, f2, g18, h3, j5, k2, l5, m3, n6, p2, p8, p11, r4, r13, r16, t6, t9, u2, u12, u16, v4, v7, v10, v14 ?? n/a pll_cfg[0:3] b8, c8, c7, d7 high input bvsel pll_ext a7 high input bvsel pmon_in d9 low input bvsel 10 pmon_out a9 low output bvsel qack g5 low input bvsel qreq p4 low output bvsel shd [0:1] e4, h5 low i/o bvsel 8 smi f9 low input bvsel sreset a2 low input bvsel sysclk a10 ? input bvsel ta k6 low input bvsel tben e1 high input bvsel tbst f11 low output bvsel tck c6 high input bvsel table 11. pinout listing for the mpc7441, 360 cbga package (continued) signal name pin number active i/o i/f select 1 notes
24 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice pinout listings for the 360 cbga package tdi b9 high input bvsel 7 tdo a4 high output bvsel tea l1 low input bvsel test[0:3] a12, b6, b10, e10 ? input bvsel 2 test[4] d10 ? input bvsel 9 tms f1 high input bvsel 7 trst a5 low input bvsel 7, 14 ts l4 low i/o bvsel 8 tsiz[0:2] g6, f7, e7 high output bvsel tt[0:4] e5, e6, f6, e9, c5 high i/o bvsel wt d3 low output bvsel 8 v dd h8, h10, h12, j7, j9, j11, j13, k8, k10, k12, k14, l7, l9, l11, l13, m8, m10, m12 ?? n/a notes: 1. ov dd supplies power to the processor bus, jtag, and all control signals; and v dd supplies power to the processor core and the pll (after filtering to become av dd ). to program the i/o voltage, connect bvsel to either gnd (selects 1.8 v) or to hreset (selects 2.5 v). if used, the pulldown resistor should be less than 250 ? . for actual recommended value of v in or supply voltages see table 4. 2. these input signals are for factory use only and must be pulled up to ov dd for normal machine operation. 3. these signals are for factory use only and must be left unconnected for normal machine operation. 4. ignored in 60x bus mode. 5. this signal selects between mpx bus mode (asserted) and 60x bus mode (negated) and will be sampled at hreset going high. 6. this signal must be negated during reset, by pull-up to ov dd or negation by ? hreset (inverse of hreset ), to ensure proper operation. 7. internal pull-up on die. 8. these pins require weak pull-up resistors (for example, 4.7 k ? ) to maintain the control signals in the negated state after they have been actively negated and released by the mpc7441 and other bus masters. 9. these input signals are for factory use only and must be pulled down to gnd for normal machine operation. 10. this pin can externally enable the performance monitor counters (pmc) if they are internally enabled by the software. if it will not be used to control the pmc, it should be pulled down to gnd so that the software can enable the pmc. 11. unused address pins must be pulled down to gnd. 12. this test signal is recommended to be tied to hreset ; however, other configurations will not adversely affect performance. 13. these signals must be pulled down to gnd if unused, or if the mpc7441 is in 60x bus mode. 14. this signal must be asserted during reset, by pull-down to gnd or assertion by hreset , to ensure proper operation. table 11. pinout listing for the mpc7441, 360 cbga package (continued) signal name pin number active i/o i/f select 1 notes
motorola mpc7441 risc microprocessor hardware specifications 25 preliminary ? subject to change without notice package description 1.8 package description the following sections provide the package parameters and mechanical dimensions for the cbga package. 1.8.1 package parameters for the mpc7441, 360 cbga the package parameters are as provided in the following list. the package type is 25 25 mm, 360-lead ceramic ball grid array (cbga). package outline 25 25 mm interconnects 360 (19 19 ball array ? 1) pitch 1.27 mm (50 mil) minimum module height 2.72 mm maximum module height 3.24 mm ball diameter 0.89 mm (35 mil) 1.8.2 mechanical dimensions for the mpc7441, 360 cbga figure 13 provides the mechanical dimensions and bottom surface nomenclature for the mpc7441, 360 cbga package.
26 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice package description figure 13. mechanical dimensions and bottom surface nomenclature for the mpc7441, 360 cbga notes: 1. dimensioning and tolerancing per asme y14.5m, 1994. 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a ball missing from the array. 0.2 c a 360x d 2x a1 corner e e 0.2 2x c b 12345678910111213141516 a b c d e f g h j k l m n p r t b 0.3 a 0.15 b a 0.2 a 171819 u w v millimeters dim min max a 2.72 3.24 a1 0.80 1.00 a2 1.10 1.34 a3 ? 0.6 b 0.82 0.93 d 25.00 bsc d1 ? 6.15 e 1.27 bsc e 25.00 bsc e1 ? 10.2 e2 8.28 ? capacitor region 1 d1 e2 e1 a a1 a2 a3
motorola mpc7441 risc microprocessor hardware specifications 27 preliminary ? subject to change without notice system design information 1.9 system design information this section provides system and thermal design recommendations for successful application of the mpc7441. 1.9.1 pll configuration the mpc7441 pll is configured by the pll_ext and pll_cfg[0:3] signals. for a given sysclk (bus) frequency, the pll configuration signals set the internal cpu and vco frequency of operation. pll_ext will normally be pulled low but can be asserted for extended modes of operation. the pll configuration for the mpc7441 is shown in table 12 for a set of example frequencies. in this example, shaded cells represent settings that, for a given sysclk frequency, result in core and/or vco frequencies that do not comply with the 600-mhz column in table 8. table 12. mpc7441 microprocessor pll configuration example for 600 mhz parts pll_ext pll_cfg [0:3] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to- core multiplier core-to- vco multiplier bus 33.3 mhz bus 50 mhz bus 66.6 mhz bus 75 mhz bus 83 mhz bus 100 mhz bus 133 mhz 000000.5x2x 16 (33) 25 (50) 33 (66) 37 (75) 47 (83) 50 (100) 66 (133) 001002x 2x 66 (133) 100 (200) 133 (266) 150 (300) 166 (333) 200 (400) 266 (533) 001102.5x2x 83 (166) 125 (250) 166 (333) 187 (375) 208 (415) 250 (500) 333 (666) 010003x 2x 100 (200) 150 (300) 200 (400) 225 (450) 250 (500) 300 (600) 400 (800) 0 1110 3.5x 2x 116 (233) 175 (350) 233 (466) 262 (525) 291 (581) 350 (700) 466 (933) 010104x 2x 133 (266) 200 (400) 266 (533) 300 (600) 333 (666) 400 (800) 533 (1066) 0 0111 4.5x 2x 150 (300) 225 (450) 300 (600) 337 (675) 374 (747) 450 (900) 600 (1200) 0 1011 5x 2x 166 (333) 250 (500) 333 (666) 375 (750) 415 (830) 500 (1000) 667 (1333) 010015.5x2x 183 (366) 275 (550) 366 (733) 412 (825) 457 (913) 550 (1100) 733 (1466) 0 1101 6x 2x 200 (400) 300 (600) 400 (800) 450 (900) 498 (996) 600 (1200) 001016.5x2x 216 (433) 325 (630) 433 (866) 488 (975) 540 (1080) 650 (1300) 000107x 2x 233 (466) 350 (700) 466 (933) 525 (1050) 581 (1162) 700 (1400) 000017.5x2x 250 (500) 375 (750) 500 (1000) 563 (1125) 623 (1245) 750 (1500) 0 1100 8x 2x 266 (533) 400 (800) 533 (1066) 600 (1200) 664 (1328)
28 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice system design information 1.9.2 pll power supply filtering the av dd power signal is provided on the mpc7441 to provide power to the clock generation pll. to ensure stability of the internal clock, the power supplied to the av dd input signal should be filtered of any noise in the 500 khz to 10 mhz resonant frequency range of the pll. a circuit similar to the one shown in figure 14 using surface mount capacitors with minimum effective series inductance (esl) is recommended. the circuit should be placed as close as possible to the av dd pin to minimize noise coupled from nearby circuits. it is often possible to route directly from the capacitors to the av dd pin, which is on the periphery of the 360 cbga footprint and very close to the periphery of the 483 cbga footprint, without the inductance of vias. 1 0111 9x 2x 300 (600) 450 (900) 600 (1200) 675 (1350) 747 (1494) 1 1010 10x 2x 333 (666) 500 (1000) 667 (1333) 750 (1500) 1 1001 11x 2x 366 (733) 550 (1100) 733 (1466) 1 1011 12x 2x 400 (800 600 (1200) 1 0101 13x 2x 433 (866) 650 (1300) 1 1100 14x 2x 466 (933) 700 (1400) 1 0001 15x 2x 500 (1000) 750 (1500) 1 1101 16x 2x 533 (1066) 0 0011 pll off/bypass pll off, sysclk clocks core circuitry directly 0 1111 pll off pll off, no core clocking occurs notes: 1. pll_cfg[0:3] settings not listed are reserved. 2. the sample bus-to-core frequencies shown are for reference only. some pll configurations may select bus, core, or vco frequencies which are not useful, not supported, or not tested for by the mpc7441; see section 1.5.2.1, ? clock ac specifications, ? for valid sysclk, core, and vco frequencies. 3. in pll-bypass mode, the sysclk input signal clocks the internal processor directly and the pll is disabled. however, the bus interface unit requires a 2x clock to function. therefore, an additional signal, ext_qual, must be driven at one-half the frequency of sysclk and offset in phase to meet the required input setup t ivkh and hold time t ixkh (see table 9). the result will be that the processor bus frequency will be one-half sysclk while the internal processor is clocked at sysclk frequency. this mode is intended for factory use and emulator tool use only. note : the ac timing specifications given in this document do not apply in pll-bypass mode. 4. in pll-off mode, no clocking occurs inside the mpc7441 regardless of the sysclk input. table 12. mpc7441 microprocessor pll configuration example for 600 mhz parts (continued) pll_ext pll_cfg [0:3] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to- core multiplier core-to- vco multiplier bus 33.3 mhz bus 50 mhz bus 66.6 mhz bus 75 mhz bus 83 mhz bus 100 mhz bus 133 mhz
motorola mpc7441 risc microprocessor hardware specifications 29 preliminary ? subject to change without notice system design information figure 14. pll power supply filter circuit 1.9.3 power supply voltage sequencing the notes in table 2 contain cautions about the sequencing of the external bus voltages and core voltage of the mpc7441 (when they are different). these cautions are necessary for the long-term reliability of the part. if they are violated, the electrostatic discharge (esd) protection diodes will be forward-biased and excessive current can flow through these diodes. if the system power supply design does not control the voltage sequencing, the circuit shown in figure 15 can be added to meet these requirements. the 30bf10 diodes (see figure 15) control the maximum potential difference between the external bus and core power supplies on power-up and the 1n5820 diodes regulate the maximum potential difference on power-down. figure 15. example voltage sequencing circuit 1.9.4 decoupling recommendations due to the mpc7441 dynamic power management feature, large address and data buses, and high operating frequencies, the mpc7441 can generate transient power surges and high frequency noise in its power supply, especially while driving large capacitive loads. this noise must be prevented from reaching other components in the mpc7441 system, and the mpc7441 itself requires a clean, tightly regulated source of power. therefore, it is recommended that the system designer place at least one decoupling capacitor at each v dd and ov dd pin of the mpc7441. it is also recommended that these decoupling capacitors receive their power from separate v dd , ov dd , and gnd power planes in the pcb, utilizing short traces to minimize inductance. these capacitors should have a value of 0.01 f or 0.1 f. only ceramic surface mount technology (smt) capacitors should be used to minimize lead inductance, preferably 0508 or 0603 orientations where connections are made along the length of the part. consistent with the recommendations of dr. howard johnson in high speed digital design: a handbook of black magic (prentice hall, 1993) and contrary to previous recommendations for decoupling motorola microprocessors, multiple small capacitors of equal value are recommended over using multiple values of capacitance. in addition, it is recommended that there be several bulk storage capacitors distributed around the pcb, feeding the v dd and ov dd planes, to enable quick recharging of the smaller chip capacitors. these bulk capacitors should have a low equivalent series resistance (esr) rating to ensure the quick response time v dd av dd 10 ? 2.2 f 2.2 f gnd low esl surface mount capacitors 2.5 v 1.5 v 1n5820 1n5820 30bf10 30bf10
30 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice system design information necessary. they should also be connected to the power and ground planes through two vias to minimize inductance. suggested bulk capacitors: 100 ? 330 f (avx tps tantalum or sanyo oscon). 1.9.5 connection recommendations to ensure reliable operation, it is highly recommended to connect unused inputs to an appropriate signal level. unused active low inputs should be tied to ov dd . unused active high inputs should be connected to gnd. all nc (no-connect) signals must remain unconnected. power and ground connections must be made to all external v dd , ov dd , and gnd pins in the mpc7441. 1.9.6 output buffer dc impedance the mpc7441 processor bus drivers is characterized over process, voltage, and temperature. to measure z 0 , an external resistor is connected from the chip pad to ov dd or gnd. then, the value of each resistor is varied until the pad voltage is ov dd /2 (see figure 16). the output impedance is the average of two components, the resistances of the pull-up and pull-down devices. when data is held low, sw2 is closed (sw1 is open), and r n is trimmed until the voltage at the pad equals ov dd /2. r n then becomes the resistance of the pull-down devices. when data is held high, sw1 is closed (sw2 is open), and r p is trimmed until the voltage at the pad equals ov dd /2. r p then becomes the resistance of the pull-up devices. r p and r n are designed to be close to each other in value. then, z 0 = (r p + r n )/2. figure 16. driver impedance measurement table 13 summarizes the signal impedance results. the impedance increases with junction temperature and is relatively unaffected by bus voltage. ov dd ognd r p r n pad data sw1 sw2
motorola mpc7441 risc microprocessor hardware specifications 31 preliminary ? subject to change without notice system design information 1.9.7 pull-up/pull-down resistor requirements the mpc7441 requires high-resistive (weak: 4.7 k ? ) pull-up resistors on several control pins of the bus interface to maintain the control signals in the negated state after they have been actively negated and released by the mpc7441 or other bus masters. these pins are: ts , artry , shdo , and shd1 . some pins designated as being for factory test must be pulled up to ov dd or down to gnd to ensure proper device operation. for the mpc7441, 360 bga, the pins that must be pulled up to ov dd are: lssd_mode and test[0:3]; the pins that must be pulled down to gnd are: l1_tstclk and test[4]. in addition, the mpc7441 has one open-drain style output that requires a pull-up resistor (weak or stronger: 4.7 k ? ? 1k ? ) if it is used by the system. this pin is ckstp_out . if a pull-down resistor is used to configure bvsel, the resistor should be less than 250 ? (see table 11). during inactive periods on the bus, the address and transfer attributes may not be driven by any master and may, therefore, float in the high-impedance state for relatively long periods of time. because the mpc7441 must continually monitor these signals for snooping, this float condition may cause excessive power draw by the input receivers on the mpc7441 or by other receivers in the system. it is recommended that these signals be pulled up through weak (4.7 k ? ) pull-up resistors by the system, or that they may be otherwise driven by the system during inactive periods of the bus. the snooped address and transfer attribute inputs are: a[0:35], ap[0:4], tt[0:4], ci , wt , and gbl . if extended addressing is not used, a[0:3] are unused and must be be pulled low to gnd through weak pull-down resistors. if the mpc7441 is in 60x bus mode, dti[0:3] must be pulled low to gnd through weak pull-down resistors. the data bus input receivers are normally turned off when no read operation is in progress and, therefore, do not require pull-up resistors on the bus. other data bus receivers in the system, however, may require pull-ups, or that those signals be otherwise driven by the system during inactive periods by the system. the data bus signals are: d[0:63] and dp[0:7]. if address or data parity is not used by the system, and the respective parity checking is disabled through hid0, the input receivers for those pins are disabled, and those pins do not require pull-up resistors and should be left unconnected by the system. if all parity generation is disabled through hid0, then all parity checking should also be disabled through hid0, and all parity pins may be left unconnected by the system. 1.9.8 jtag configuration signals boundary scan testing is enabled through the jtag interface signals. the trst signal is optional in the ieee 1149.1 specification, but is provided on all processors that implement the powerpc architecture. while it is possible to force the tap controller to the reset state using only the tck and tms signals, more reliable power-on reset performance will be obtained if the trst signal is asserted during power-on reset. because the jtag interface is also used for accessing the common on-chip processor (cop) function, simply tying trst to hreset is not practical. table 13. impedance characteristics v dd = 1.5 v, ov dd = 1.8 v 5%, t j = 5 ? 85 c impedance processor bus unit z 0 typical 33 ? 42 ? maximum 31 ? 51 ?
32 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice system design information the cop function of these processors allows a remote computer system (typically, a pc with dedicated hardware and debugging software) to access and control the internal operations of the processor. the cop interface connects primarily through the jtag port of the processor, with some additional status monitoring signals. the cop port requires the ability to independently assert hreset or trst in order to fully control the processor. if the target system has independent reset sources, such as voltage monitors, watchdog timers, power supply failures, or push-button switches, then the cop reset signals must be merged into these signals with logic. the arrangement shown in figure 17 allows the cop to independently assert hreset or trst , while ensuring that the target can drive hreset as well. an optional pull-down resistor on trst can be populated to ensure that the jtag scan chain is initialized during power-on if the jtag interface and cop header will not be used; otherwise, this resistor should be unpopulated and trst is asserted when the system reset signal (hreset ) is asserted and the jtag interface is responsible for driving trst when needed. the cop header shown in figure 17 adds many benefits ? breakpoints, watchpoints, register and memory examination/modification, and other standard debugger features are possible through this interface ? and can be as inexpensive as an unpopulated footprint for a header to be added when needed. the cop interface has a standard header for connection to the target system, based on the 0.025" square-post, 0.100" centered header assembly (often called a berg header). the connector typically has pin 14 removed as a connector key. there is no standardized way to number the cop header shown in figure 17; consequently, many different pin numbers have been observed from emulator vendors. some are numbered top-to-bottom then left-to-right, while others use left-to-right then top-to-bottom, while still others number the pins counter clockwise from pin 1 (as with an ic). regardless of the numbering, the signal placement recommended in figure 17 is common to all known emulators. the qack signal shown in figure 17 is usually connected to the pci bridge chip in a system and is an input to the mpc7441 informing it that it can go into the quiescent state. under normal operation this occurs during a low-power mode selection. in order for cop to work, the mpc7441 must see this signal asserted (pulled down). while shown on the cop header, not all emulator products drive this signal. if the product does not, a pull-down resistor can be populated to assert this signal. additionally, some emulator products implement open-drain type outputs and can only drive qack asserted; for these tools, a pull-up resistor can be implemented to ensure this signal is deasserted when it is not being driven by the tool. note that the pull-up and pull-down resistors on the qack signal are mutually exclusive and it is never necessary to populate both in a system. to preserve correct power down operation, qack should be merged via logic so that it also can be driven by the pci bridge.
motorola mpc7441 risc microprocessor hardware specifications 33 preliminary ? subject to change without notice system design information figure 17. jtag interface connection 1.9.9 thermal management information this section provides thermal management information for the ceramic ball grid array (cbga) package for air-cooled applications. proper thermal control design is primarily dependent on the system-level design ? the heat sink, airflow, and thermal interface material. to reduce the die-junction temperature, heat hreset hreset from target board sources hreset 13 sreset sreset sreset nc nc 11 vdd_sense 6 5 1 15 2 k ? 10 k ? 10 k ? 10 k ? ov dd ov dd ov dd ov dd chkstp_in chkstp_in 8 tms tdo tdi tck tms tdo tdi tck 9 1 3 4 trst 7 16 2 10 12 (if any) cop header 14 2 key notes: 1. run/stop , normally found on pin 5 of the cop header, is not implemented on the mpc7450. connect pin 5 of the cop header to ov dd with a 10 k ? pull-up resistor. 2. key location; pin 14 is not physically present on the cop header. . qack ov dd ov dd 10 k ? ov dd 2 k ? 3 gnd 3. component not populated. populate only if jtag interface is unused. trst 10 k ? ov dd 10 k ? 10 k ? qack 2 k ? 4 qack chkstp_out chkstp_out 3 13 9 5 1 6 10 2 15 11 7 16 12 8 4 key no pin cop connector physical pin out 4. component not populated. populate only if debug tool does not drive qack . 10 k ? 5 ov dd 5. populate only if debug tool uses an open-drain type output and does not actively deassert qack . 1 2 k ? 4
34 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice system design information sinks may be attached to the package by several methods ? spring clip to holes in the printed-circuit board or package, and mounting clip and screw assembly (see figure 18); however, due to the potential large mass of the heat sink, attachment through the printed circuit board is suggested. if a spring clip is used, the spring force should not exceed 5.5 pounds. figure 18. package exploded cross-sectional view with several heat sink options the board designer can choose between several types of heat sinks to place on the mpc7441. there are several commercially available heat sinks for the mpc7441 provided by the following vendors: chip coolers inc. 800-227-0254 (usa/canada) 333 strawberry field rd. 401-739-7600 warwick, ri 02887-6979 internet: www.chipcoolers.com international electronic research corporation (ierc) 818-842-7277 135 w. magnolia blvd. burbank, ca 91502 internet: www.ctscorp.com thermalloy 972-243-4321 2021 w. valley view lane dallas, tx 75234-8993 internet: www.thermalloy.com wakefield engineering 781-406-3000 100 cummings center, suite 157h beverly, ma 01915 internet: www.wakefield.com aavid engineering 972-551-7330 250 apache trail terrell, tx 75160 internet: www.aavid.com thermal interface material heat sink cbga package heat sink clip printed-circuit board
motorola mpc7441 risc microprocessor hardware specifications 35 preliminary ? subject to change without notice system design information cool innovations inc. 905-760-1992 260 spinnaker way, unit 8 concord, ontario l4k 4p9 canada internet: www.coolinnovations.com ultimately, the final selection of an appropriate heat sink depends on many factors, such as thermal performance at a given air velocity, spatial volume, mass, attachment method, assembly, and cost. 1.9.9.1 internal package conduction resistance for the exposed-die packaging technology, shown in table 3, the intrinsic conduction thermal resistance paths are as follows:  the die junction-to-case (or top-of-die for exposed silicon) thermal resistance  the die junction-to-ball thermal resistance figure 19 depicts the primary heat transfer path for a package with an attached heat sink mounted to a printed-circuit board. figure 19. c4 package with heat sink mounted to a printed-circuit board heat generated on the active side of the chip is conducted through the silicon, then through the heat sink attach material (or thermal interface material), and finally to the heat sink where it is removed by forced-air convection. because the silicon thermal resistance is quite small, for a first-order analysis, the temperature drop in the silicon may be neglected. thus, the thermal interface material and the heat sink conduction/convective thermal resistances are the dominant terms. 1.9.9.2 thermal interface materials a thermal interface material is recommended at the package lid-to-heat sink interface to minimize the thermal contact resistance. for those applications where the heat sink is attached by spring clip mechanism, figure 20 shows the thermal performance of three thin-sheet thermal-interface materials (silicone, external resistance external resistance internal resistance (note the internal versus external package resistance) radiation convection radiation convection heat sink printed-circuit board thermal interface material package/leads die junction die/package
36 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice system design information graphite/oil, floroether oil), a bare joint, and a joint with thermal grease as a function of contact pressure. as shown, the performance of these thermal interface materials improves with increasing contact pressure. the use of thermal grease significantly reduces the interface thermal resistance. that is, the bare joint results in a thermal resistance approximately 7 times greater than the thermal grease joint. often, heat sinks are attached to the package by means of a spring clip to holes in the printed-circuit board (see figure 18). therefore, the synthetic grease offers the best thermal performance, considering the low interface pressure and is recommended due to the high power dissipation of the mpc7441. of course, the selection of any thermal interface material depends on many factors ? thermal performance requirements, manufacturability, service temperature, dielectric properties, cost, etc. figure 20. thermal performance of select thermal interface material the board designer can choose between several types of thermal interface. heat sink adhesive materials should be selected based upon high conductivity, yet adequate mechanical strength to meet equipment shock/vibration requirements. there are several commercially available thermal interfaces and adhesive materials provided by the following vendors: dow-corning corporation 800-248-2481 dow-corning electronic materials po box 0997 midland, mi 48686-0997 internet: www.dow.com chomerics, inc. 781-935-4850 77 dragon court woburn, ma 01888-4014 internet: www.chomerics.com 0 0.5 1 1.5 2 0 1020304050607080 silicone sheet (0.006 inch) bare joint floroether oil sheet (0.007 inch) graphite/oil sheet (0.005 inch) synthetic grease contact pressure (psi) specific thermal resistance (kin 2 /w)
motorola mpc7441 risc microprocessor hardware specifications 37 preliminary ? subject to change without notice system design information thermagon inc. 888-246-9050 3256 west 25th street cleveland, oh 44109-1668 internet: www.thermagon.com loctite corporation 860-571-5100 1001 trout brook crossing rocky hill, ct 06067-3910 internet: www.loctite.com the following section provides a heat sink selection example using one of the commercially available heat sinks. 1.9.9.3 heat sink selection example for preliminary heat sink sizing, the die-junction temperature can be expressed as follows: t j = t a + t r + ( jc + int + sa ) p d where: t j is the die-junction temperature t a is the inlet cabinet ambient temperature t r is the air temperature rise within the computer cabinet jc is the junction-to-case thermal resistance int is the adhesive or interface material thermal resistance sa is the heat sink base-to-ambient thermal resistance p d is the power dissipated by the device during operation, the die-junction temperatures (t j ) should be maintained less than the value specified in table 4. the temperature of the air cooling the component greatly depends upon the ambient inlet air temperature and the air temperature rise within the electronic cabinet. an electronic cabinet inlet-air temperature (t a ) may range from 30 to 40 c. the air temperature rise within a cabinet (t r ) may be in the range of 5 to 10 c. the thermal resistance of the thermal interface material ( int ) is typically about 1.5 c/w. for example, assuming a t a of 30 c, a t r of 5 c, a cbga package jc = 0.1, and a typical power consumption (p d ) of 11.5 w, the following expression for t j is obtained: die-junction temperature: t j = 30 c + 5 c + (0.1 c/w + 1.5 c/w + sa ) 11.5 w for this example, a sa value of 4.4 c/w or less is required to maintain the die junction temperature below the maximum value of table 4. though the die junction-to-ambient and the heat sink-to-ambient thermal resistances are a common figure-of-merit used for comparing the thermal performance of various microelectronic packaging technologies, one should exercise caution when only using this metric in determining thermal management because no single parameter can adequately describe three-dimensional heat flow. the final die-junction operating temperature is not only a function of the component-level thermal resistance, but the system-level design and its operating conditions. in addition to the component's power consumption, a number of factors affect the final operating die-junction temperature ? airflow, board population (local heat flux of adjacent components), heat sink efficiency, heat sink attach, heat sink placement, next-level interconnect technology, system air temperature rise, altitude, etc. due to the complexity and the many variations of system-level boundary conditions for today's microelectronic equipment, the combined effects of the heat transfer mechanisms (radiation, convection,
38 mpc7441 risc microprocessor hardware specifications motorola preliminary ? subject to change without notice document revision history and conduction) may vary widely. for these reasons, we recommend using conjugate heat transfer models for the board, as well as system-level designs. 1.10 document revision history table 14 provides a revision history for this hardware specification. 1.11 ordering information ordering information for the parts fully covered by this specification document is provided in section 1.11.1, ? part numbers fully addressed by this document. ? 1.11.1 part numbers fully addressed by this document table 15 provides the motorola part numbering nomenclature for the mpc7441. note that the individual part numbers correspond to a maximum processor core frequency. for available frequencies, contact your local motorola sales office. in addition to the processor frequency, the part numbering scheme also includes an application modifier which may specify special application conditions. each part number also contains a revision level code which refers to the die mask revision number. table 14. document revision history document revision substantive change(s) rev 0 initial release. table 15. part numbering nomenclature xpc 7441 rx nnn x x product code part identifier package processor frequency 1 application modifier revision level xpc 2 7441 rx = cbga 600 700 l: 1.5 v 50 mv 0 to 105 c g: 2.3; pvr = 8000 0210 notes: 1. processor core frequencies supported by parts addressed by this specification only. parts addressed by part number specifications may support other maximum core frequencies. 2. the x prefix in a motorola part number designates a ? pilot production prototype ? as defined by motorola sop 3-13. these are from a limited production volume of prototypes manufactured, tested, and q.a. inspected on a qualified technology to simulate normal production. these parts have only preliminary reliability and characterization data. before pilot production prototypes may be shipped, written authorization from the customer must be on file in the applicable sales office acknowledging the qualification status and the fact that product changes may still occur while shipping pilot production prototypes.
motorola mpc7441 risc microprocessor hardware specifications 39 preliminary ? subject to change without notice ordering information 1.11.2 part marking parts are marked as the example shown in figure 21. figure 21. part marking for bga device bga notes : ccccc is the country of assembly. this space is left blank if parts are assembled in the united states. mmmmmm is the 6-digit mask number. atwlyywwa is the traceability code. xpc7441 rx600lg mmmmmm atwlyywwa 7441
MPC7441EC/d how to reach us: usa/europe/locations not listed: motorola literature distribution; p.o. box 5405, denver, colorado 80217 1-303-675-2140 or 1-800-441-2447 japan: motorola japan ltd.; sps, technical information center, 3-20-1, minami-azabu minato-ku, tokyo 106-8573 japan 81-3-3440-3569 asia/pacific: motorola semiconductors h.k. ltd.; silicon harbour centre, 2 dai king street, tai po industrial estate, tai po, n.t., hong kong 852-26668334 technical information center: 1-800-521-6274 home page: http://www.motorola.com/semiconductors document comments: fax (512) 933-2625, attn: risc applications engineering information in this document is provided solely to enable system and software implementers to use . there are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document. motorola reserves the right to make changes without further notice to any products herein. motorola makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does motorola assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. ? typical ? parameters which may be provided in motorola data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. all operating parameters, including ? typicals ? must be validated for each customer application by customer ? s technical experts. motorola does not convey any license under its patent rights nor the rights of others. motorola products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the motorola product could create a situation where personal injury or death may occur. should buyer purchase or use motorola products for any such unintended or unauthorized application, buyer shall indemnify and hold motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that motorola was negligent regarding the design or manufacture of the part. motorola and the stylized m logo are registered in the u.s. patent and trademark office. digital dna is a trademark of motorola, inc. all other product or service names are the property of their respective owners. motorola, inc. is an equal opportunity/affirmative action employer. ? motorola, inc. 2001


▲Up To Search▲   

 
Price & Availability of MPC7441EC

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X